CIMdata PLM Industry Summary Online Archive

9 June 2008

Product News

Magma Announces Low-Power 45-nm Reference Flow for Common Platform Technology

Magma® Design Automation Inc. announced the July availability of its low-power RTL-to-GDSII design flow for ICs targeted at 45-nanometer (nm) processes of Common Platform technology -- an alliance among IBM, Chartered Semiconductor Manufacturing and Samsung. Based on Magma's Talus® IC implementation system, including Talus Power Pro, the flow uses various techniques throughout implementation and within a single environment to minimize power consumption while maximizing quality of results and reducing turnaround time.

The reference flow collaboration around the Talus system, which is targeted at reducing power consumption and supporting UPF, included implementing a complex, hierarchical design using a Unified Power Format (UPF)-compliant, low-power design intent specification to drive automatic creation of multiple power domains and level-shifter, isolation-cell and retention-flop insertion. The design was implemented using the Common Platform 45-nm low-power process.

"Customers continue to push the envelope on advanced technology nodes to achieve the advantages of higher levels of integration, but they face the challenge of significantly increased power consumption. The Common Platform is working closely with our design enablement partners, such as Magma, to provide leading-edge low-power solutions. With its integrated approach, advanced low-power design capabilities and UPF support, Talus addresses power and implementation issues for 45-nm designs," said Ana Hunter, vice president of Technology at Samsung Semiconductor, on behalf of the Common Platform technology alliance. "We expect that the combination of Magma software and Common Platform technology can enable our mutual customers to achieve their power, performance and turnaround-time objectives."

"The Common Platform alliance has clearly demonstrated how intelligent industry collaboration can accelerate the development of next-generation technology," said Kam Kittrell, general manager of Magma's Design Implementation Business Unit. "We're pleased that Magma software is among the first to be validated for the Common Platform alliance's 45-nm low-power reference flow."

Talus: An Advanced Low-Power Design Flow for 45-nm ICs

The Magma-Common Platform 45-nm Low-power Reference Flow is based on the Talus implementation system. This system provides a fully integrated RTL-to-GDSII flow for high-performance, high-complexity, low-power nanometer designs. Talus Design and Talus Vortex are key components of the system. Talus Design is a full-chip synthesis environment that enables rapid development of RTL and chip-level constraints throughout the design process, and automates data-path synthesis and floorplan generation for prototyping. Talus Vortex is a physical design environment that delivers improved timing and signal integrity, smaller area, lower power, better manufacturability, faster turnaround time and higher capacity than conventional point-tool flows.

Talus Power Pro works in conjunction with Talus Design and Talus Vortex to enable optimal power management throughout the flow. It features power-aware synthesis, physical optimization, clock tree synthesis and routing, allowing designers to minimize power and ensure uniform power distribution.

Talus Power Pro reads in the power constraints from the UPF file at the beginning of the RTL-to-GDSII flow. Power constraints such as clock gating, retention-flop synthesis and multi-Vdd domain definitions can be defined for dynamic power reduction. Special cells such as level shifters and isolation cells can be inferred during the synthesis stage to support multi-Vdd flows. For domains that are powered down, switches can be inferred at the RTL stage to facilitate simulation. State tables can be used to define the relationship between the different domains that have been created. Talus Power Pro can also write out a UPF file at any point on the design flow for easy interoperability with third-party tools.

Availability

The reference flow will be available in July at no cost to Magma customers and may be obtained by contacting your local Magma sales person.

Become a member of the CIMdata PLM Community to receive your daily PLM news and much more.

Tell us what you think of the CIMdata Newsletter. Send your feedback.

CIMdata is committed to your privacy. Your personal information will never be sold or shared outside of CIMdata without your express permission.

Subscribe