CIMdata PLM Industry Summary Online Archive

27 April 2009

Product News

Magma Announces Talus Support of Common Power Format

Magma® Design Automation Inc., a provider of chip design software, announced that the Talus® IC implementation system has been enhanced to support the Common Power Format (CPF). With the addition of CPF, Talus becomes the first RTL-to-GDSII flow to support both the CPF and the Unified Power Format (UPF). Both formats enable better, faster, low-power integrated circuit (IC) implementation by allowing specifications to be captured just once and used consistently throughout the flow. By supporting both formats, Magma offers designers the flexibility to choose the low-power format that best suits their design while also providing advanced low-power design capabilities that minimize power consumption, maximize quality of results and reduce iterations.

Magma's open architecture and unified data model simplified the implementation of the CPF across the Talus RTL-to-GDSII environment. With its implementation and analysis engines sharing a single, common view of the design and CPF support, Talus enables designers to implement low-power design techniques throughout the flow.

"All applications, not just wireless and portable consumer devices, are driving the demand for lower power, lower cost ICs," said Premal Buch, general manager of Magma's Design Implementation Business Unit. "To help our customers meet these demands, Talus provides a flow that offers advanced low-power design techniques, including automated multi-voltage design, ultra-low-power clock tree synthesis and physical implementation, that meet dynamic and leakage power requirements while reducing turnaround time. By adding support for CPF we are being responsive to requests from our customers and enabling them to take advantage of the additional time savings through use of the low-power format of their choice."

Common Power Format: Capturing Designer's Intent for Power Management

CPF is a design specification language that addresses the limitation in traditional design automation tool flows by capturing the designer's intent for power management and enabling the automation of advanced power-lowering design techniques. The Common Power Format enables all design-, verification-, implementation- and technology-related power objectives to be captured in a single file and allows the application of that data across the design flow, in top-down, bottom-up and middle out methodologies, providing a consistent reference point for design development and production.

Talus: An Advanced Low-Power Design Flow

The Talus implementation system provides a fully integrated RTL-to-GDSII flow for high-performance, high-complexity, low-power nanometer designs. Talus Design, Hydra™ and Talus Vortex are key components of the system. Talus Design is a full-chip synthesis environment that enables development of RTL and chip-level constraints throughout the design process, and automates data-path synthesis and floorplan generation for prototyping. Hydra is an advanced floorplan synthesis and hierarchical design planning product with physical optimization capabilities that delivers superior predictability. Talus Vortex is a physical design environment that delivers improved timing and signal integrity, smaller area, lower power, better manufacturability, faster turnaround time and higher capacity than conventional point-tool flows.

Talus Power Pro works in conjunction with Talus Design, Hydra and Talus Vortex to enable optimal power management throughout the flow. It features power-aware synthesis, physical optimization, clock tree synthesis and routing, allowing designers to minimize power and ensure uniform power distribution.

Talus Power Pro reads in the power constraints from the CPF file at the beginning of the RTL-to-GDSII flow. Power constraints such as clock gating, retention-flop synthesis and multi-Vdd domain definitions can be defined for dynamic power reduction. Special cells such as level shifters and isolation cells can be inferred during the synthesis stage to support multi-Vdd flows. For domains that are powered down, switches can be inferred at the RTL stage to facilitate simulation. State tables can be used to define the relationship between the different domains that have been created. Talus Power Pro can also write out CPF files at any point in the design flow for easy interoperability with third-party tools.

Become a member of the CIMdata PLM Community to receive your daily PLM news and much more.

Tell us what you think of the CIMdata Newsletter. Send your feedback.

CIMdata is committed to your privacy. Your personal information will never be sold or shared outside of CIMdata without your express permission.

Subscribe